Welcome![Sign In][Sign Up]
Location:
Search - VHDL mfsk

Search list

[File OperateFPGA_27eg

Description: FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及仿真; MPSK调制与解调VHDL程序与仿真; 基带码发生器程序设计与仿真; 频率计程序设计与仿真; 采用等精度测频原理的频率计程序与仿真; 电子琴程序设计与仿真 2004.8修改; 电子琴程序设计与仿真; 电梯控制器程序设计与仿真; 电子时钟VHDL程序与仿真; 自动售货机VHDL程序与仿真; 出租车计价器VHDL程序与仿真 2004.8修改; 出租车计价器VHDL程序与仿真; 波形发生程序; 步进电机定位控制系统VHDL程序与仿-FPGA value of the 27 examples. Rar including LED control procedures and VHDL simulation 200 4.8 amendments. doc; LED control procedures and VHDL simulation; LCD control procedures and VHDL simulation 2004.8 modified; LCD control procedures and VHDL simulation; Connection between ADC 0809 VHDL control procedures; TLC5510 VHDL control procedures; DAC0832 interface circuits; TLC7524 interface circuits; URAT procedures and VHDL simulation; ASK modulation and demodulation process and VHDL simulation; FSK modulation and demodulation process and VHDL simulation; PSK modulation and demodulation process and VHDL simulation; MASK modulation procedures and VHDL simulation; MFSK modulation procedures and VHDL simulation; MPSK modulation and demodulation process and VHDL simulation; Base-band code gene
Platform: | Size: 1279333 | Author: | Hits:

[Other resourcemfsk

Description: vhdl mfsk 多进制数字频率调制(MFSK)也称多元调频或多频制。MFSK系统是 2FSK(二频键控)系统的推广,该系统有 M个 不同的载波频率可供选择.每一个载波频率对应一个 M进制码 元信息,即用多个频率不同的正弦波分别代表不同的数字信号,在某一码元时间内只发送其中一个频率。
Platform: | Size: 1105 | Author: mzizai | Hits:

[Software EngineeringPL_MFSK

Description: MFSK调制VHDL程序及仿真文件名:PL_MFSK --功能:基于VHDL硬件描述语言,完成对基带信号的MFSK调制 --说明:这里MFSK的M为4
Platform: | Size: 63538 | Author: mjlhb | Hits:

[Other resourceMFSK

Description: 基于VHDL硬件描述语言,完成对基带信号的MFSK调制,源码
Platform: | Size: 1013 | Author: zhangjia | Hits:

[Other resource8.13_MFSK_VHDL

Description: mfsk调制方式的VHDL的实现 程序简洁 而且附有仿真波形
Platform: | Size: 63545 | Author: 铁松 | Hits:

[Other resourceMFSK_VHDL

Description: 多进制数字频率调制(MFSK)系统VHDL程序
Platform: | Size: 932 | Author: zhang | Hits:

[File FormatFPGA_27eg

Description: FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及仿真; MPSK调制与解调VHDL程序与仿真; 基带码发生器程序设计与仿真; 频率计程序设计与仿真; 采用等精度测频原理的频率计程序与仿真; 电子琴程序设计与仿真 2004.8修改; 电子琴程序设计与仿真; 电梯控制器程序设计与仿真; 电子时钟VHDL程序与仿真; 自动售货机VHDL程序与仿真; 出租车计价器VHDL程序与仿真 2004.8修改; 出租车计价器VHDL程序与仿真; 波形发生程序; 步进电机定位控制系统VHDL程序与仿-FPGA value of the 27 examples. Rar including LED control procedures and VHDL simulation 200 4.8 amendments. doc; LED control procedures and VHDL simulation; LCD control procedures and VHDL simulation 2004.8 modified; LCD control procedures and VHDL simulation; Connection between ADC 0809 VHDL control procedures; TLC5510 VHDL control procedures; DAC0832 interface circuits; TLC7524 interface circuits; URAT procedures and VHDL simulation; ASK modulation and demodulation process and VHDL simulation; FSK modulation and demodulation process and VHDL simulation; PSK modulation and demodulation process and VHDL simulation; MASK modulation procedures and VHDL simulation; MFSK modulation procedures and VHDL simulation; MPSK modulation and demodulation process and VHDL simulation; Base-band code gene
Platform: | Size: 1278976 | Author: | Hits:

[Post-TeleCom sofeware systemsmfsk

Description: vhdl mfsk 多进制数字频率调制(MFSK)也称多元调频或多频制。MFSK系统是 2FSK(二频键控)系统的推广,该系统有 M个 不同的载波频率可供选择.每一个载波频率对应一个 M进制码 元信息,即用多个频率不同的正弦波分别代表不同的数字信号,在某一码元时间内只发送其中一个频率。-vhdl mfsk M-ary digital frequency modulation (MFSK), also known as multi-frequency or multi-frequency system. MFSK system is 2FSK (b Frequency Shift Keying) system, the promotion, the system has M different carrier frequencies to choose from. Each carrier frequency corresponds to an M-band meta-information code, which uses a number of different sine wave frequency, respectively, representing different digital signal, in a symbol time to send only one frequency.
Platform: | Size: 1024 | Author: mzizai | Hits:

[Software EngineeringPL_MFSK

Description: MFSK调制VHDL程序及仿真文件名:PL_MFSK --功能:基于VHDL硬件描述语言,完成对基带信号的MFSK调制 --说明:这里MFSK的M为4 -MFSK modulation VHDL simulation procedures and the file name: PL_MFSK- features: Based on the VHDL hardware description language, completion of the base-band signal MFSK modulation- Description: Here MFSK of M 4
Platform: | Size: 63488 | Author: mjlhb | Hits:

[VHDL-FPGA-VerilogMFSK_VHDL

Description: 基于VHDL硬件描述语言,对基带信号进行4FSK调制-VHDL hardware description language based on the base-band signal modulation 4FSK
Platform: | Size: 63488 | Author: wavy | Hits:

[VHDL-FPGA-VerilogMFSK

Description: 基于VHDL硬件描述语言,完成对基带信号的MFSK调制,源码-Based on the VHDL hardware description language, completion of the base-band signal MFSK modulation, source
Platform: | Size: 1024 | Author: zhangjia | Hits:

[VHDL-FPGA-Verilog8.13_MFSK_VHDL

Description: mfsk调制方式的VHDL的实现 程序简洁 而且附有仿真波形-MFSK modulation mode of the realization of VHDL procedures and accompanied by concise simulation waveform
Platform: | Size: 63488 | Author: 铁松 | Hits:

[VHDL-FPGA-VerilogMFSK

Description: 多进制数字频率调制(MFSK)系统VHDL程序-Multi-band digital frequency modulation (MFSK) system VHDL procedures
Platform: | Size: 1024 | Author: hong | Hits:

[OtherMFSK-VHDl

Description: MFSK调制程序,里面有仿真结果,VHDL语言编写,语言简单,易学易用。-MFSK modulation process, there are simulation results, VHDL language, language is simple, easy to learn and use.
Platform: | Size: 63488 | Author: chenqin | Hits:

[VHDL-FPGA-VerilogMFSK

Description: MFSK调制系统设计和VHDL程序及仿真-MFSK modulation system design and simulation of VHDL procedures and
Platform: | Size: 63488 | Author: jack wolf | Hits:

[VHDL-FPGA-VerilogVHDLprogram

Description: 有ASK,MSK,PSK,MASK,MFSK的VHDL程序实现及仿真结果分析。-There ASK, MSK, PSK, MASK, MFSK the VHDL program implementation and simulation results.
Platform: | Size: 270336 | Author: 方晓翠 | Hits:

[VHDL-FPGA-Verilog8.13-MFSK-debug-VHDL-program

Description: 基于VHDL硬件描述语言,对基带信号进行MASK调制-VHDL hardware description language based on the modulated baseband signal MASK
Platform: | Size: 63488 | Author: HUANGTIANWEN | Hits:

[VHDL-FPGA-VerilogMFSK_modulation

Description: 基于VHDL的mfsk调制电路设计(VHDL源程序)-Based on VHDL mfsk modulation circuit design (VHDL source)
Platform: | Size: 63488 | Author: pei | Hits:

[VHDL-FPGA-VerilogMFSK-VHDL

Description: 基于VHDL硬件描述语言,完成对基带信号的MFSK调制-Based on the VHDL hardware description language, complete baseband signal MFSK modulation
Platform: | Size: 63488 | Author: MOHAMAD | Hits:

[VHDL-FPGA-VerilogMFSK.vhd

Description: 多进制数字频率合成系统VHDL程序,包含2进制、16进制。-Multi-band digital frequency modulation (MFSK) system VHDL program
Platform: | Size: 1024 | Author: 张朝阳 | Hits:
« 12 »

CodeBus www.codebus.net